Trang chủTin tứcIntel đẩy mạnh đổi mới trong tiến trình xử lý và đóng...

Intel đẩy mạnh đổi mới trong tiến trình xử lý và đóng gói

Tập đoàn Intel hôm nay đã tiết lộ một trong những lộ trình chi tiết nhất mà công ty từng cung cấp về công nghệ xử lý và đóng gói, giới thiệu một loạt các cải tiến cơ bản sẽ hỗ trợ cho các sản phẩm từ nay tới năm 2025 và xa hơn nữa. Ngoài việc công bố RibbonFET, kiến trúc bóng bán dẫn mới đầu tiên trong hơn một thập kỷ qua và PowerVia, một phương thức mới đầu tiên trong ngành để cấp nguồn mặt sau vi mạch, công ty đã nêu bật kế hoạch áp dụng nhanh chóng  thế hệ tiếp theo của công nghệ quang khắc (lithography) sử dụng tia siêu cực tím (EUV), được biết đến với tên gọi EUV Khẩu độ số cao (High NA). Intel được đánh giá là sẽ nhận được công cụ sản xuất sử dụng EUV với  khẩu độ cao đầu tiên trong ngành.

Intel đẩy mạnh đổi mới trong tiến trình xử lý và đóng gói

Trong buổi phát sóng trực tuyến của chương trình “Intel Accelerated”, ông Pat Gelsinger, Giám đốc điều hành của Intel, chia sẻ : “Dựa trên nền tảng dẫn đầu vững chãi của Intel về công nghệ đóng gói tiên tiến, chúng tôi đang đẩy nhanh lộ trình đổi mới để đảm bảo một hướng đi rõ ràng nhằm dẫn đầu hiệu suất tiến trình cho đến  năm 2025. Chúng tôi đang tận dụng hệ thống đổi mới vô song để cung cấp những tiến bộ công nghệ từ bóng bán dẫn đến cấp hệ thống. Cho đến khi bảng tuần hoàn cạn kiệt, chúng tôi sẽ không ngừng theo đuổi Định luật Moore và quá trình đổi mới nhờ vào sự kỳ diệu của silicon.”

Từ lâu, toàn ngành công nghiệp đã nhận ra rằng việc định danh node dựa trên nanomet truyền thống đã không còn khớp với chỉ số chiều dài cổng thực tế vào năm 1997. Ngày 27/7 theo giờ Thái Bình Dương (PT), Intel đã giới thiệu cấu trúc định danh mới cho các node, tạo ra một khuôn khổ rõ ràng và nhất quán để cung cấp cho khách hàng cái nhìn chính xác hơn về các tiến trình trong toàn ngành. Thông báo chính thức này càng trở nên quan trọng hơn bao giờ hết với sự ra mắt của Intel Foundry Services (IFS). Ông Gelsinger nói: “Những đổi mới được công bố ngày hôm nay sẽ không chỉ hỗ trợ lộ trình sản phẩm của Intel; chúng cũng sẽ rất quan trọng đối với khách hàng dựa vào xưởng đúc của chúng tôi. Sự quan tâm dành cho IFS đang lớn dần và tôi rất vui khi hôm nay chúng tôi đã có thể công bố hai khách hàng lớn đầu tiên của mình. IFS đã sẵn sàng cho các cuộc đua!”

- Advertisement -

Các nhà phát triển công nghệ tại Intel đã mô tả lộ trình phát triển trình như sau, với cách định danh node và những cải tiến cho phép:

  • Intel 7 mang lại hiệu suất tăng khoảng 10% đến 15% trên mỗi watt so với Intel 10nm SuperFin, dựa trên tối ưu hóa bóng bán dẫn FinFET. Intel 7 sẽ xuất hiện trong các sản phẩm như bộ vi xử lý Alder Lake cho khách hàng vào năm 2021 và Sapphire Rapids cho trung tâm dữ liệu, dự kiến ​​sẽ được đưa vào sản xuất trong quý đầu tiên của năm 2022.
  • Intel 4 tận dụng công nghệ quang khắc EUV để tạo ra các tính năng cực nhỏ bằng cách sử dụng ánh sáng có bước sóng cực ngắn. Với mức tăng hiệu suất trên mỗi watt khoảng 20%, cùng với những cải tiến về diện tích, Intel 4 sẽ sẵn sàng đi vào sản xuất vào nửa cuối năm 2022 cho các sản phẩm xuất xưởng vào năm 2023, bao gồm bộ vi xử lý Meteor Lake cho khách hàng và Granite Rapids cho trung tâm dữ liệu.
  • Intel 3 tiếp tục đẩy mạnh quá trình tối ưu hóa FinFET và nâng cao EUV để tăng hiệu suất trên mỗi watt khoảng 18% so với Intel 4, cùng với các cải tiến bổ sung về diện tích. Intel 3 sẽ được tiến hành sử dụng trên các sản phẩm vào nửa cuối năm 2023.
  • Intel 20A mở ra kỷ nguyên angstrom với hai công nghệ đột phá, RibbonFET và PowerVia. RibbonFET, với hình thức triển khai bóng bán dẫn toàn cổng (gate-all-around) của Intel, sẽ là kiến ​​trúc bóng bán dẫn mới đầu tiên của công ty kể từ khi tiên phong FinFET vào năm 2011. Công nghệ này mang lại tốc độ chuyển đổi bóng bán dẫn nhanh hơn trong khi vẫn đạt được dòng truyền động giống như nhiều cánh tản nhiệt trong một diện tích tiếp xúc nhỏ hơn. PowerVia là cách ứng dụng đầu tiên trong ngành của Intel về cấp nguồn ở mặt sau vi mạch, tối ưu hóa việc truyền tín hiệu bằng cách loại bỏ nhu cầu định tuyến nguồn ở mặt trước của đế wafer. Intel 20A dự kiến ​​sẽ được phát triển vào năm 2024. Công ty cũng rất vui mừng về cơ hội hợp tác với Qualcomm thông qua công nghệ xử lý Intel 20A.
  • Hướng tới 2025 và xa hơn nữa: Ngoài Intel 20A, Intel 18A đang trong quá trình phát triển để ra mắt vào đầu năm 2025 với các cải tiến cho RibbonFET, đảm bảo mang lại một bước nhảy vọt về hiệu suất bóng bán dẫn. Intel cũng đang tiếp tục làm việc để xác định, xây dựng và triển khai thế hệ tiếp theo của EUV khẩu hiệu số cao và hy vọng sẽ nhận được công cụ sản xuất đầu tiên trong ngành. Intel đang hợp tác chặt chẽ với ASML để đảm bảo sự thành công của bước đột phá này vượt lên trên thế hệ EUV hiện tại.
Intel đẩy mạnh đổi mới trong tiến trình xử lý và đóng gói
Gói thử nghiệm các ô tính toán “Meteor Lake” dành cho khách hàng trên Intel 4 được trưng bày trong khuôn khổ sự kiện “Intel Accelerated” vào ngày 26 tháng 7 năm 2021 (Giờ Thái Bình Dương – PT). Tại sự kiện này, Intel đã trình bày lộ trình công nghệ đóng gói và tiến trình trong tương lai của công ty.

Tiến sĩ Ann Kelleher, Phó chủ tịch cấp cao kiêm Tổng giám đốc Phát triển Công nghệ của Intel, cho biết: “Intel đã có lịch sử lâu đời về những đổi mới mang tính nền tảng của quy trình xử lý và đã đưa ngành phát triển tới với những bước tiến vượt bậc. Chúng tôi đã đi đầu trong quá trình chuyển đổi sang silicon được kéo dãn (strained silicon) tại 90nm, tới cổng kim loại có hệ số điện môi cao (high-k) ở 45nm và cả FinFET ở 22nm. Intel 20A sẽ là một bước ngoặt khác trong công nghệ xử lý với hai cải tiến đột phá: RibbonFET và PowerVia.”

Với chiến lược IDM 2.0 mới của Intel, việc đóng gói cấu trúc ngày càng trở nên quan trọng để tận dụng các lợi ích của Định luật Moore. Intel đã thông báo rằng AWS sẽ là khách hàng đầu tiên sử dụng các giải pháp đóng gói IFS, đồng thời cung cấp thông tin chi tiết sau đây về lộ trình đóng gói tiên tiến hàng đầu trong ngành:

  • EMIB tiếp tục dẫn đầu ngành với vị thế của giải pháp nhúng cầu nối (embedded bridge solution) 2.5D đầu tiên, với các sản phẩm được vận chuyển từ năm 2017. Sapphire Rapids sẽ là sản phẩm đầu tiên cho trung tâm dữ liệu Intel® Xeon® xuất xưởng với số lượng lớn với EMIB (cầu nối đa đế nhúng). Đây cũng sẽ là thiết bị có kích thước kẻ đôi ở mắt lưới (dual-recticle) đầu tiên trong ngành, mang lại hiệu năng tương đương một thiết kế nguyên khối. Ngoài Sapphire Rapids, thế hệ tiếp theo của EMIB sẽ đưa khoảng cách từ 55 micron xuống còn 45 micron.
  • Foveros tận dụng khả năng đóng gói đế wafer để cung cấp giải pháp xếp chồng 3D đầu tiên. Meteor Lake là dòng sản phẩm thứ hai áp dụng Foveros trong một sản phẩm dành cho khách hàng và có khoảng cách từ tâm của các phần tử (bump pitch) là 36 micron, các ô trải dài trên nhiều tiến trình sản xuất thu nhỏ và dải công suất thiết kế nhiệt từ 5 đến 125W.
  • Foveros Omni mở ra thế hệ tiếp theo của công nghệ Foveros bằng cách cung cấp sự linh hoạt không giới hạn với công nghệ xếp chồng 3D hiệu suất cho các thiết kế mô-đun và kết nối liên kết die-to-die (liên kết và tích hợp các đế chip chồng lên nhau). Foveros Omni cho phép phân chia đế chip, trộn nhiều tấm khuôn trên cùng với nhiều tấm nền xuyên suốt các tiến trình sản xuất chất bán dẫn hỗn hợp và dự kiến ​​sẽ sẵn sàng để sản xuất số lượng lớn vào năm 2023.
  • Foveros Direct chuyển sang liên kết copper-to-copper trực tiếp cho các kết nối có điện trở thấp và làm mờ ranh giới giữa nơi kết thúc đế wafer và nơi cấu trúc bảo vệ bắt đầu. Foveros Direct cho phép khoảng cách nhỏ hơn 10 micron cung cấp thứ tự tăng cường trong mật độ kết nối cho công nghệ xếp chồng 3D, mở ra các khái niệm về phân vùng đế chip chức năng chưa từng có trước đây. Foveros Direct sẽ hỗ trợ Foveros Omni và cũng dự kiến ​​sẽ được đưa vào sử dụng vào năm 2023.

Các đột phá được thảo luận ngày hôm nay chủ yếu được phát triển tại các nhà máy của Intel ở Oregon và Arizona, củng cố vai trò của công ty với cương vị một tập đoàn dẫn đầu về nghiên cứu, phát triển và sản xuất ở Hoa Kỳ. Bên cạnh đó, những tiến bộ mà Intel tạo ra cũng là thành quả của sự phối hợp chặt chẽ với một hệ sinh thái gồm những đối tác khác nhau ở Mỹ và Châu Âu. Quan hệ đối tác mật thiết chính là chìa khóa góp phần mang lại những đổi mới cơ bản từ quy mô phòng thí nghiệm đến sản xuất khối lượng lớn. Vì vậy, Intel cam kết hợp tác với chính phủ các nước để tăng cường chuỗi cung ứng, thúc đẩy kinh tế và an ninh quốc gia.

Intel kết thúc buổi phát sóng webcast bằng việc đưa ra những thông tin chi tiết về sự kiện Intel InnovatiON, sẽ được tổ chức trực tuyến cũng như tại San Francisco vào ngày 27- 28 tháng 10 năm 2021. Để biết thêm thông tin, vui lòng truy cập trang web Intel ON.

Quảng cáospot_img
Quảng cáospot_img
Quảng cáospot_img

Tin liên quan